>> 当前位置:首页 - 产品 - 北京PCI-E测试DDR3测试 深圳市力恩科技供应

北京PCI-E测试DDR3测试 深圳市力恩科技供应

信息介绍 / Information introduction

DDR 系统概述

DDR 全名为 Double Data Rate SDRAM ,简称为 DDR。DDR 本质上不需要提高时钟频率就能加倍提高 SDRAM 的速度,它允许在时钟的上升沿和下降沿读/写数据,因而其数据速率是标准 SDRAM 的两倍,至于地址与控制信号与传统 SDRAM 相同,仍在时钟上升沿进行数据判决。  DDR 与 SDRAM 的对比DDR 是一个总线系统,总线包括地址线、数据信号线以及时钟、控制线等。其中数据信号线可以随着系统吞吐量的带宽而调整,但是必须以字节为单位进行调整,例如,可以是 8 位、16 位、24 位或者 32 位带宽等。 所示的是 DDR 总线的系统结构,地址和控制总线是单向信号,只能从控制器传向存储芯片,而数据信号则是双向总线。

DDR 总线的系统结构DDR 的地址信号线除了用来寻址以外,还被用做控制命令的一部分,因此,地址线和控制信号统称为地址/控制总线。DDR 中的命令状态真值表。可以看到,DDR 控制器对存储系统的操作,就是通过控制信号的状态和地址信号的组合来完成的。 DDR 系统命令状态真值表 DDR3一致性测试是否可以检测出硬件故障?北京PCI-E测试DDR3测试

北京PCI-E测试DDR3测试,DDR3测试

DDR3拓扑结构规划:Fly・by拓扑还是T拓扑

DDR1/2控制命令等信号,均采用T拓扑结构。到了 DDR3,由于信号速率提升,当负 载较多如多于4个负载时,T拓扑信号质量较差,因此DDR3的控制命令和时钟信号均釆用 Fly.by拓扑。下面是在某项目中通过前仿真比较2片负载和4片负载时,T拓扑和Fly-by拓 扑对信号质量的影响,仿真驱动芯片为Altera芯片,IBIS文件 为arria5.ibs, DDR颗粒为Micron颗粒,IBIS模型文件为v70s.ibs。

分别标示了两种拓扑下的仿真波形和眼图,可以看到2片负载 时,Fly-by拓扑对DDR3控制和命令信号的改善作用不是特别明显,因此在2片负载时很多 设计人员还是习惯使用T拓扑结构。 北京PCI-E测试DDR3测试DDR3内存有哪些常见的容量大小?

北京PCI-E测试DDR3测试,DDR3测试

DDR3信号质量问题及仿真解决案例随着DDR信号速率的升高,信号电平降低,信号质量问题也会变得突出。比如DDR1的数据信号通常用在源端加上匹配电阻来改善波形质量;DDR2/3/4会将外部电阻变成内部ODT;对于多负载的控制命令信号,DDR1/2/3可以在末端添加VTT端接,而DDR4则将采 用VDD的上拉端接。在CLK的差分端接及控制芯片驱动能力的选择等方面,可以通过仿真 来得到正确驱动和端接,使DDR工作时信号质量改善,从而增大DDRI作时序裕量。

DDR 规范的 DC 和 AC 特性

众所周知,对于任何一种接口规范的设计,首先要搞清楚系统中传输的是什么样的信号,也就是驱动器能发出什么样的信号,接收器能接受和判别什么样的信号,用术语讲,就是信号的DC和AC特性要求。

在DDR规范文件JEDEC79R2.odf的TABLE6:ELECTRICALCHARACTERISTICSANDDOOPERATINGCONDITIONS」中对DDR的DC有明确要求:VCC=+2.5v+0.2V,Vref=+1.25V+0.05VVTT=Vref+0.04V.

在我们的实际设计中,除了要精确设计供电电源模块之外,还需要对整个电源系统进行PI仿真,而这是高速系统设计中另一个需要考虑的问题,在这里我们先不讨论它,暂时认为系统能够提供稳定的供电电源。 是否可以通过调整时序设置来解决一致性问题?

北京PCI-E测试DDR3测试,DDR3测试

可以通过AllegroSigritySI仿真软件来仿真CLK信号。

(1)产品选择:从产品菜单中选择AllegroSigritySI产品。

(2)在产品选择界面选项中选择AllegroSigritySI(forboard)。

(3)在AllegroSigritySI界面中打开DDR_case.brd文件。

(4)选择菜单Setup-*Crosssection..,设置电路板层叠参数。

将DDRController和Memory器件的IBIS模型memorycontroller.ibs和memory.ibs文件放在当前DDR_case.brd文件的同一目录下,这样,工具会自动査找到目录下的器件模型。 DDR3一致性测试期间会测试哪些方面?北京PCI-E测试DDR3测试

什么是DDR3一致性测试?北京PCI-E测试DDR3测试

DDR 规范的时序要求

在明确了规范中的 DC 和 AC 特性要求之后,下一步,我们还应该了解规范中对于信号的时序要求。这是我们所设计的 DDR 系统能够正常工作的基本条件。

在规范文件中,有很多时序图,笔者大致计算了一下,有 40 个左右。作为高速电路设计的工程师,我们不可能也没有时间去做全部的仿真波形来和规范的要求一一对比验证,那么哪些时序图才是我们关注的重点?事实上,在所有的这些时序图中,作为 SI 工程师,我们需要关注的只有两个,那就是规范文件的第 69 页,关于数据读出和写入两个基本的时序图(注意,这里的读出和写入是从 DDR 控制器,也即 FPGA 的角度来讲的)。为方便读者阅读,笔者把这两个时序图拼在了一起,而其他的时序图的实现都是以这两个图为基础的。在板级系统设计中,只要满足了这两个时序图的质量,其他的时序关系要求都是对这两个时序图逻辑功能的扩展,应该是 DDR 控制器的逻辑设计人员所需要考虑的事情。 北京PCI-E测试DDR3测试

免责声明: 本页面所展现的信息及其他相关推荐信息,均来源于其对应的用户,本网对此不承担任何保证责任。如涉及作品内容、 版权和其他问题,请及时与本网联系,我们将核实后进行删除,本网站对此声明具有最终解释权。

查看全部介绍
推荐产品  / Recommended Products