>> 当前位置:首页 - 产品 - 广东DDR3测试方案商 深圳市力恩科技供应

广东DDR3测试方案商 深圳市力恩科技供应

信息介绍 / Information introduction

走线阻抗/耦合检查

走线阻抗/耦合检查流程在PowerSI和SPEED2000中都有,流程也是一样的。本例通过 Allegro Sigrity SI 启动 Trace Impedance/Coupling Check,自动调用 PowerSI 的流程。下面通过实例来介绍走线阻抗/耦合检查的方法。

启动 Allegro Sigrity SI,打开 DDR_Case_Check.brd。单击菜单 AnalyzeTrace Impedance/Coupling Check,在弹出的 SPDLINK Xnet Selection 窗口 中单击 OK 按钮。整个.brd 文件将被转换成.spd文件,并自动在PowerSI软件界面中打开。 如何选择适用于DDR3一致性测试的工具?广东DDR3测试方案商

广东DDR3测试方案商,DDR3测试

浏览选择控制器的IBIS模型,切换到Bus Definition选项卡,单击Add按钮添加一 组新的Buso选中新加的一行Bus使其高亮,将鼠标移动到Signal Names下方高亮处,单击 出现的字母E,打开Signal列表。勾选组数据和DM信号,单击0K按钮确认。

同样,在Timing Ref下方高亮处,单击出现的字母E打开TimingRef列表。在这个列表 窗口左侧,用鼠标左键点选DQS差分线的正端,用鼠标右键点选负端,单击中间的“>>”按 钮将选中信号加入TimingRefs,单击OK按钮确认。

很多其他工具都忽略选通Strobe信号和时钟Clock信号之间的时序分析功能,而SystemSI可以分析包括Strobe和Clock在内的完整的各类信号间的时序关系。如果要仿真分析选通信号Strobe和时钟信号Clock之间的时序关系,则可以设置与Strobe对应的时钟信号。在Clock 下方的高亮处,单击出现的字母E打开Clock列表。跟选择与Strobe -样的操作即可选定时 钟信号。 广东DDR3测试方案商是否可以在运行操作系统时执行DDR3一致性测试?

广东DDR3测试方案商,DDR3测试

重复以上步骤,分别对Meml〜Mem4分配模型并建立总线时序关系,置完其中一个,单击0K按钮并在弹出窗口单击Copy按钮,将会同时更新其他Memory 模块。

3.分配互连模型有3种方法可设置互连部分的模型:第1种是将已有的SPICE电路模型或S参数模型分配给相应模块;第2种是根据叠层信息生成传输线模型;第3种是将互连模块与印制电路板或封装板关联,利用模型提取工具按需提取互连模型。对前两种方法大家比较熟悉,这里以第3种方法为例介绍其使用过程。

DDR 系统概述

DDR 全名为 Double Data Rate SDRAM ,简称为 DDR。DDR 本质上不需要提高时钟频率就能加倍提高 SDRAM 的速度,它允许在时钟的上升沿和下降沿读/写数据,因而其数据速率是标准 SDRAM 的两倍,至于地址与控制信号与传统 SDRAM 相同,仍在时钟上升沿进行数据判决。  DDR 与 SDRAM 的对比DDR 是一个总线系统,总线包括地址线、数据信号线以及时钟、控制线等。其中数据信号线可以随着系统吞吐量的带宽而调整,但是必须以字节为单位进行调整,例如,可以是 8 位、16 位、24 位或者 32 位带宽等。 所示的是 DDR 总线的系统结构,地址和控制总线是单向信号,只能从控制器传向存储芯片,而数据信号则是双向总线。

DDR 总线的系统结构DDR 的地址信号线除了用来寻址以外,还被用做控制命令的一部分,因此,地址线和控制信号统称为地址/控制总线。DDR 中的命令状态真值表。可以看到,DDR 控制器对存储系统的操作,就是通过控制信号的状态和地址信号的组合来完成的。 DDR 系统命令状态真值表 如何确保DDR3一致性测试的可靠性和准确性?

广东DDR3测试方案商,DDR3测试

为了改善地址信号多负载多层级树形拓扑造成的信号完整性问题,DDR3/4的地址、控制、命令和时钟信号釆用了Fly-by的拓扑结构种优化了负载桩线的菊花链拓扑。另外,在主板加内存条的系统设计中,DDR2的地址命令和控制信号一般需要在主板上加匹配电阻,而DDR3则将终端匹配电阻设计在内存条上,在主板上不需要额外电阻,这样可以方便主板布线,也可以使匹配电阻更靠近接收端。为了解决使用Fly-by拓扑岀现的时钟信号和选通信号“等长”问题,DDR3/4采用了WriteLeveling技术进行时序补偿,这在一定程度上降低了布线难度,特别是弱化了字节间的等长要求。不同于以往DDRx使用的SSTL电平接口,新一代DDR4釆用了POD电平接口,它能够有效降低单位比特功耗。DDR4内存也不再使用SlewRateDerating技术,降低了传统时序计算的复杂度。DDR3内存的一致性测试可以修复一致性问题吗?重庆PCI-E测试DDR3测试

DDR3内存的一致性测试是否需要长时间运行?广东DDR3测试方案商

常见的信号质量包括阈值电平、Overshoot、Undershoot、Slew Rate> tDVAC等,DDRx 信号质量的每个参数JEDEC都给出了明确的规范。比如DDR3要求Overshoot和Undershoot 分别为0.4V,也就是说信号幅值P・P值应该在-0.4-1.9V,但在实际应用中由于不适合信号 端接使DDR信号质量变差,通过仿真就可以找出合适端接,使信号质量满足JEDEC规范。 下面以DDR3 1066Mbps信号为例,通过一个实际案例说明DDR3信号质量仿真。

在本案例中客户反映实测CLK信号质量不好。CLK信号从CUP (U100)出来经过4片 DDR3 (U101、U102、U103、U104),在靠近控制芯片接收端颗粒(近的颗粒)的信号很 差,系统工作不到DDR3 1066Mbpso在对时钟信号做了终端上拉匹配后,可以正常工作。 广东DDR3测试方案商

免责声明: 本页面所展现的信息及其他相关推荐信息,均来源于其对应的用户,本网对此不承担任何保证责任。如涉及作品内容、 版权和其他问题,请及时与本网联系,我们将核实后进行删除,本网站对此声明具有最终解释权。

查看全部介绍
推荐产品  / Recommended Products